Modelsim For Mac



Command to setup Modelsim: setup modelsim. Command to run Modelsim: vsim & Dual boot Linux on your Mac. This is believed to be possible but has not been investigated. Installing Quartus, Modelsim, and SystemBuilder. Follow the instructions in the Quartus, Modelsim, and SystemBuilder Software Installation Guide. ModelSim by Altera Corporation is a well-known HDL simulation tool for VHDL, Verilog and SystemC languages. Unfortunately, there is no official version of ModelSim for Mac available on the market, so you will have to use other programs that can do this job.

This article or section is out of date.

Mac

Modelsim Crack

Reason: Altera was acquired by Intel. (Discuss in Talk:Altera Design Software#)

From altera.com Design Software - FPGA Design:

The Quartus® Prime design software is a multiplatform design environment that easily adapts to your specific needs in all phases of FPGA, CPLD, and SoC designs. The Quartus Prime software delivers the highest performance and productivity for Intel® FPGAs, CPLDs, and SoCs.

This tutorial shows how to download, install, and configure the following software from Altera:

  • Quartus Prime Standard Edition
    • USB-Blaster (I and II) Download Cable Driver
  • ModelSim-Altera Edition (Included with Quartus Prime Standard Edition)
  • 1Quartus Prime Standard Edition
    • 1.2Manual installation
      • 1.2.5Integrating Quartus Prime with the system
    • 1.4Troubleshooting
      • 1.4.2USB Blaster not working
      • 1.4.3Installation hangs when installing Quartus Prime Help and/or ModelSim
  • 2ModelSim-Altera Edition
    • 2.2Compatibility with Archlinux
    • 2.4Troubleshooting

Quartus Prime Standard Edition

Installation via AUR

To install the latest version of Quartus Prime and Modelsim install the package quartus-freeAUR.

Note: This package will install all the devices supported by Quartus Prime. To do a minimal installation see the manual installation instruction.

To start Quartus Prime execute quartus.

To program a FPGA (via the USB-Blaster) the user needs to be part of the plugdev group.


Manual installation

The following procedure shows how to download, install, and configure Altera Quartus Prime Standard Edition v15.1 for Arch Linux.

Note: The following tutorial works for older Quartus II and ModelSim versions, including both Subscription Editions and Web Editions, from at-least v13.0 through v15.0.

Quartus Prime is Altera's design software collection to design and interact with all their FPGAs/CPLDs/etc. products.

The procedure focuses on Arch Linux 64-bit systems, although 32-bit installations should work fine too.

Quartus Prime Standard Edition v15.1 is officially supportedfor RHEL 5 and RHEL 6, but since it is one of those huge collections of proprietary software that does notinteract so much with the distribution, it is fairly easy to install on Arch Linux.

Get Quartus Prime

In Altera's Downloads section, select Linux as the operating systemand get the Combined Files tar archive (something like Quartus-15.1.2.193-linux-complete.tar).

Install dependencies

Although the main Quartus Prime software is 64-bit, alot of Altera tools shipped with Quartus Prime are still 32-bitsoftware. Those include the Nios II EDS and Qsys, for example. This is why we need to install lots oflib32- libraries and other programs from the Arch Linux multilib repo. Obviously, if you have a 32-bitArch Linux system, you do not need the multilib versions.

In order to install multilib packages using pacman, you need to enable the multilib repository first (if not already done).

All the packages required below are taken from Altera Software Installation and Licensing (sect. 1-4).

Let us first install the native versions of the required packages: expatfontconfigfreetype2xorg-fonts-type1glibcgtk2libcanberralibpnglibpng12libicelibsmutil-linuxncursestcltcllibAURzliblibx11libxaulibxdmcplibxextlibxftlibxrenderlibxtlibxtstld-lsb.

And the multilib versions: lib32-expatlib32-fontconfiglib32-freetype2lib32-glibclib32-gtk2lib32-libcanberralib32-libpnglib32-libpng12lib32-libicelib32-libsmlib32-util-linuxlib32-ncurseslib32-zliblib32-libx11lib32-libxaulib32-libxdmcplib32-libxextlib32-libxftlib32-libxrenderlib32-libxtlib32-libxtst.

You are now ready to install and launch Quartus Prime.

Installing

To install, first extract the downloaded tar archive:

and launch setup.sh. If you are going to install Quartus Prime anywhere outside yourhome directory, run it as root:

You will probably get a GUI install wizard if you installed all the aforementioned packages. You might alsoget a command-line interactive install wizard, which will do the same.

The default install path is /root/altera/15.1, but some prefer /opt/altera/15.1, which we assumefor the rest of this document.

Make sure to include the 64-bit option of Quartus Prime when installing.

Launching Quartus Prime

Assuming you installed Quartus Prime in /opt/altera/15.1, Quartus Prime binaries are located into /opt/altera/15.1/quartus/bin.Run Quartus Prime (64-bit version):

or the 32-bit version:

All other Altera tools, like Qsys, the Nios II EDS, Chip Planner and SignalTap II may be launched without any problemfrom the Tools menu of Quartus Prime.

Integrating Quartus Prime with the system

Quartus Prime can be integrated with the system in several ways, but those are optional.

PATH variable

Let us now add the Quartus bin folder to the PATH variable so it can be executed without specifying its absolute path.Create a quartus.sh file in the /etc/profile.d directory

Also, make sure it can be executed:

Please note that those profile.d files are loaded at each login. In the mean time, simply sourcethat file in Bash:

Other environment variables related to Quartus can be found in the official installation manual.

Even if quartus is now a command known by Bash, you still need to add the --64bit argument in order to launch the 64-bitversion. A shell alias, like quartus64, is a great solution to avoid typing it each time.

Application menu entry - Quartus Prime

A freedesktop.org application menu entry (which a lot of desktop environments and window managers follow) can be addedto the system by creating a quartus.desktop file in your ~/.local/share/applicationsdirectory:


USB-Blaster Download Cable Driver

The USB-Blaster (I and II) Download Cable is a cable that allows you to download configuration data from your computer to your FPGA, CPLD or EEPROM configuration device. However, Altera only provides official support for RHEL, SUSE Entreprise and CentOS, so we are required to do a little bitof work to make it work with Arch Linux. If you want some more detail about this cable, please refer tothe USB-Blaster Download Cable User Guide.

Create a new udev rule:

Then, reload that file using udevadm (disconnect any Altera device from port USB port before this command):

To check that everything is working, plug your FPGA or CPLD board using your USB-Blaster Download Cable and run:

You should have an output similar to this one

If jtagconfig output does not contain board name, you might have problems with launching nios2 tools. In order to workaround this issue, you should copy jtagd settings to /etc/jtagd:

and restart jtagd:

If there seems to be an error message about 'linux64' and you did not install the 64-bit version of Quartus Prime,create a symlink from linux to linux64 in /opt/altera/15.1/quartus:

Standard Edition License Validation

Configuring the path to your Quartus Prime Standard Edition license file from the Quartus Prime settings interface is not enough for successful license validation. The license validation routine looks for your MAC address on device eth0. This was the legacy name for your ethernet controller; now systemd dynamically allocates a name to your device on boot - this can be different from machine to machine. We need to rename that device back to the expected eth0.

Create a new udev rule:

where xx:xx:xx:xx:xx:xx is your networking device's MAC address. Then, reload that file using udevadm:

Alternatively a dummy eth0 network interface can be created by systemd-networkd daemon dynamically at boot. Create the file 25-dummy.netdev in the /etc/systemd/network/ directory

where xx:xx:xx:xx:xx:xx is the licensed networking device's MAC address and hostname is your machine's hostname. Finally ensure systemd-networkd service is enabled and started.

Modelsim macro is undefined

The Quartus Prime Standard Edition license validation routine also uses the deprecated networking tool ifconfig to validate your networking devices MAC address as per your Quartus Prime license. The deprecated networking package net-tools contains the ifconfig tool. Thus one must also install the net-tools package for Quartus Prime Standard Edition licensing validation success.

Troubleshooting

Empty (greyish) windows inside quartus (XMonad)

Some of the built-in editors in quartus such as ip editors and qsys only show a blank window.To workaround this issue change the name that is reported by your window manager to for example LG3D.To change the name reported by XMonad see the documentation.

Modsim

USB Blaster not working

Run:

Then depending on the output:

No JTAG hardware available

Check if the device shows up with lsusb. If it does try to restart jtagd as root[1]

Besides, it can copy DVD to your Mac as an ISO file or DVD movie folder. The great breakthrough for DVD-Cloner for Mac 2020 is that it can copy Blu-ray/4K UHD Blu-ray movies to BD-R/REs or your Mac and rip DVD/Blu-ray/4K UHD movies to MKV format for easy storage. This free Mac DVD copy software can copy your favorite DVD movies to Mac OS' hard drive as DVD folder. Full Disc and Main Movie modes are available. You can either use full disc mode to copy all titles, chapters, special features, etc. Or use main movie mode to copy the longest title. Copying dvd for mac os. Copy full disc or main movie only Custom Copy is supported which enables you to either copy the whole DVD with all the special features, intros, trailer and ads, or main movie only to maximize disc space usage, all up to you!; High compatibility This Mac DVD copy software works pretty well with DVD+-R/RW, DVD-RAM, most DVD players and burners, and Dual Layer 8.5GB disc. When faced with the necessity of making a copy of an unprotected DVD disc, Mac users should not worry-Mac OS X makes it simple. Keep in mind that these directions are only for copying DVDs that. This is a DVD cloner for Mac review, comparing the features, pros and cons of the best DVD cloner for Mac on cloning DVD or copying DVD for backup on Mac OS. How to Burn A DVD on A Mac from DVD/ISO Files/MP4/MKV etc How to burn a DVD on a MacBook Pro/Air (macOS High Sierra) from DVD, ISO files, MP4, MKV, MOV and more with 100% free DVD burners.

JTAG chain broken

A possible cause can be a missing 32 bit version of libudev, install lib32-libudev0-shim (source).

Error when scanning hardware - Server error

A workaround is to edit /etc/jtagd.conf or $HOME/.jtagd.conf (depending on whether you want to run jtagd as root or unprivileged user) to include the following line:

Modelsim pe student edition for mac

The second step is to add the server:

If you still have problems, try restarting jtagd:

Installation hangs when installing Quartus Prime Help and/or ModelSim

For Quartus Prime Lite 17.1, or probably other versions, the installation process hangs when installing Quartus Prime Help and ModelSim.One workaround is to uncheck them in the 'Select Components' step and install them later manually from the components folder.

Eclipse IDE for NIOSII is Slow or Unresponsive

Try disabling GTK3 in eclipse.ini in your altera folder Eclipse#Disable GTK 3

ModelSim-Altera Edition

Install

For

ModelSim-Altera Edition is downloaded and installed from the Combined Files tar archive Quartus-15.1.2.193-linux-complete.tar as part of the Quartus Prime installation procedure above.


Compatibility with Archlinux

With the kernel 4.x and Upwards

Note: ModelSim Starter Edition installation uses the path /opt/altera/15.1/modelsim_ase/ where ModelSim Subscription Edition installation uses the path /opt/altera/15.1/modelsim_ae/; the following assumes the ModelSim Subcription Edition installation.

Modelsim has a problem with version 4 of the linux kernel. You need to edit the vsim script to make it compatible. This file may be be read only, so temporarily give yourself write permissions and:

change

to

With freetype2 2.5.0.1-1

The upgrade from freetype2 version 2.5.0.1-1 to 2.5.0.1-2 (October 2013[2]) causes the following error in ModelSim:

Another error message caused by the same problem:

There are two solutions to solve this problem. The first involves downgrading the Package (probably via the Arch Linux Archive). If you are using the 32-bit version, it is sufficient to downgrade lib32-freetype2. The second and more elegant solution involves replacing the old freetype version for ModelSim only without withholding updates on the whole system (originally proposed at the now-dead link http://communities.mentor.com/mgcx/message/46770[dead link 2020-03-28 ⓘ]):

  • Copy the lib32-freetype2 2.5.0.1-1 library and symlinks somewhere in the altera folder, eg: $HOME/altera/xx.x/lib32/
  • Edit the ModelSim script /opt/altera/15.1/modelsim_ae/vco and add after dir=`dirname '$arg0'`
Note: The quartus script will need to have write permissions added to it.

When ModelSim is launched directly from Quartus the error may persist. In this case, add the same line to quartus/bin/quartus_sh.

With fontconfig 2.12.6

Similar as the problem with freetype above, the upgrade from fontconfig 2.12.6+5+g665584a-1 to fontconfig 2.13.0+10+g58f5285 causes ModelSim to not start anymore because that fontconfig version would need a newer freetype version. It yields the following error message:

So, in addition to libfreetype, you also have to supply a downgraded version of libfontconfig to successfully start ModelSim.

With ncurses 5.9

The upgrade from ncurses version 5.9-7 to 6.0-1 (and later) (September 2015[3]) causes the following error in ModelSim:

There are two solutions to this problem. One is to use ncurses5-compat-libsAUR and tweak the PKGBUILD to compile a 32-bit version of the library. (Or use lib32-ncurses5-compat-libsAUR) This will provide the latest version of ncurses with an ncurses5-compatible ABI.

The other solution is to download the ncurses 5.9 source, compile it, and copy the generated libraries and symlinks to the same directory as the freetype2 libraries.

Compiling ncurses 5.9 may lead to problems using GCC 5.x. It is also possible to get a precompiled library, using the Arch Linux Archive. The package can be found at http://ala.seblu.net/packages/l/lib32-ncurses/[dead link 2020-03-28 ⓘ].

lib32-glibc 2.23-1

Originally discussed here: https://bbs.archlinux.org/viewtopic.php?id=212531

The upgrade from lib32-glibc version 2.23-1 to later versions of lib32-glibc breaks FlexLM and prevents ModelSim from checking out a license. One workaround is to download the archived lib32-glibc-2.23-1 and extract it to a directory such as ${HOME}/altera/xx.x/lib32/glibc223-1. Then create or modify the LD_LIBRARY_PATH environment variable at the top of the /opt/altera/xx.x/modelsim_ae/vco script to include the new glibc223-1/usr/lib32 directory in the search path:

Application Menu Entry - ModelSim-Altera Edition

You can add Modelsim to your system application menu by creating a modelsim.desktop file in your ~/.local/share/applications directory

Troubleshooting

Modelsim Equivalent For Mac

Resolving the 'ModelSim Failed to access library 'work' error

The solution was originally documented here: https://jackeyblog.blogspot.com/2005/07/note-myself-modelsim-failed-to-access.html

If you receive the error:

when running a simulation in a new directory, you must create a new work directory. Execute the following in the ModelSim console:

Modelsim For Mac Os

Crash with 'Error: couldn't open socket: host is unreachable'

If ModelSilm crash while trying to start a simulation with the error:

then you may need to add an entry for localhost in your /etc/hosts file:

Modsim Download

Retrieved from 'https://wiki.archlinux.org/index.php?title=Altera_Design_Software&oldid=630937'